compilation error

Post questions here relative to DataStage Enterprise/PX Edition for such areas as Parallel job design, Parallel datasets, BuildOps, Wrappers, etc.

Moderators: chulett, rschirm, roy

suneelchallagali
Participant
Posts: 251
Joined: Tue Dec 02, 2008 3:09 pm

compilation error

Post by suneelchallagali »

I have install the visual studio 2005 express edition before installation of the datastage 7.5x2 version. In the documentation they have mention that
Datastage 7.5x2 version supports vs 2003,vs2005,vs2005 express edition. i have set the path,lib and include values as follows

include= C:\Program Files\Microsoft Visual Studio 8\VC\include;
C:\Program Files\MKS Toolkit\include;C:/PROGRA~1/MKSTOO~1/include;

lib= C:\Program Files\Microsoft Visual Studio 8\VC\lib;C:\Program Files\MKS Toolkit\lib;

Path= C:\PROGRA~1\MKSTOO~1\bin;C:\PROGRA~1\MKSTOO~1\bin\X11;C:\PROGRA~1\MKSTOO~1\mksnt;%SystemRoot%\system32;%SystemRoot%;%SystemRoot%\System32\Wbem;
C:\Program Files\Microsoft Visual Studio 8\VC\bin;


Administrator Compile Variable As:
APT_COMPILEOPT= -W/TP -W/EHa -DAPT_USE_ANSI_IOSTREAMS -c
APT_COMPILER= C:\Program Files\MKS Toolkit\mksnt\cxx.exe (earlier default value cxx)
APT_LINKER= C:\Program Files\MKS Toolkit\mksnt\cxx.exe (earlier default value cxx)
APT_LINKOPT= -W/TP -W/dll -W/base:0x50000000

when i am trying to run the job with compiler i am getting the compiler error with subprocessor exit status as 32512

Please help me with thus issue

thank you !!!!!!!!!!!!!!!!!!!!!
chulett
Charter Member
Charter Member
Posts: 43085
Joined: Tue Nov 12, 2002 4:34 pm
Location: Denver, CO

Post by chulett »

Post the actual errors.
-craig

"You can never have too many knives" -- Logan Nine Fingers
suneelchallagali
Participant
Posts: 251
Joined: Tue Dec 02, 2008 3:09 pm

Post by suneelchallagali »

Thank you for your replay Chulett, here is the compilation error:

Output from transformer compilation follows:

##I TFCN 000001 12:04:50(000) <main_program>
Ascential DataStage(tm) Enterprise Edition 7.5
Copyright (c) 2004, 1997-2004 Ascential Software Corporation.
All Rights Reserved


##I TOSH 000002 12:04:50(001) <main_program> orchgeneral: loaded
##I TOSH 000002 12:04:50(002) <main_program> orchsort: loaded
##I TOSH 000002 12:04:50(003) <main_program> orchstats: loaded
##E TBLD 000000 12:04:51(000) <main_program> Error when checking composite operator: Subprocess command failed with exit status 32512
##E TFSR 000019 12:04:51(001) <main_program> Could not check all operators because of previous error(s)
##W TFCP 000000 12:04:51(002) <transform> Error when checking composite operator: The number of reject datasets "0"is less than the number of input datasets "1".
##W TBLD 000000 12:04:51(003) <main_program> Error when checking composite operator: Output from subprocess: /C=/Program: not found

##I TFCP 000008 12:04:51(004) <transform> Error when checking composite operator: /C=/Program Files/MKS Toolkit/mksnt/cxx.exe -O -IC:/Ascential/DataStage/PXEngine/include -W/TP -W/EHa -DAPT_USE_ANSI_IOSTREAMS -c C:/Ascential/DataStage/Projects/dsproject/RT_BP1.O/V0S13_Transformer_job_Transformer_13.C -o C:/Ascential/DataStage/Projects/dsproject/RT_BP1.O/V0S13_Transformer_job_Transformer_13.tmp.o
##E TCOS 000029 12:04:51(005) <main_program> Creation of step finished with status = FAILED (Transformer_job.Transformer_13)

*** Internal Generated Transformer Code follows:
0001: //
0002: // Generated file to implement the V0S13_repos_Transformer_13 transform operator.
0003: //
0004:
0005: // define our input/output link names
0006: inputname 0 DSLink3;
0007: outputname 0 DSLink14;
0008:
0009: initialize {
0010: // define our row rejected variable
0011: int8 RowRejected0;
0012:
0013: // define our null set variable
0014: int8 NullSetVar0;
0015:
0016: }
0017:
0018: mainloop {
0019: // initialise our row rejected variable
0020: RowRejected0 = 1;
0021:
0022: // evaluate columns (no constraints) for link: DSLink14
0023: writerecord 0;
0024: RowRejected0 = 0;
0025: }
0026:
0027: finish {
0028: }
0029:
*** End of Internal Generated Transformer Code
suneelchallagali
Participant
Posts: 251
Joined: Tue Dec 02, 2008 3:09 pm

Post by suneelchallagali »

Please can any help me out with this issue...
chulett
Charter Member
Charter Member
Posts: 43085
Joined: Tue Nov 12, 2002 4:34 pm
Location: Denver, CO

Post by chulett »

##W TBLD 000000 12:04:51(003) <main_program> Error when checking composite operator: Output from subprocess: /C=/Program: not found

Looks like a 'long Windows pathname with spaces in it' issue to me. Change your APT configuration variables - everywhere you used "C:\Program Files" make it say "C:\Progra~1" instead.
-craig

"You can never have too many knives" -- Logan Nine Fingers
abhijain
Participant
Posts: 88
Joined: Wed Jun 13, 2007 1:10 pm
Location: India

Post by abhijain »

In other words, space in the path is not permissible.

Try Progra~1 for Program Files and similar fashion, if there any other spaces in path.
Rgrds,
Abhi
chulett
Charter Member
Charter Member
Posts: 43085
Joined: Tue Nov 12, 2002 4:34 pm
Location: Denver, CO

Post by chulett »

That space is allowed as long as the path is quoted but it's easier to use the old 8.3 "short" file name, something you can see is already being done for other objects in their environment.
-craig

"You can never have too many knives" -- Logan Nine Fingers
suneelchallagali
Participant
Posts: 251
Joined: Tue Dec 02, 2008 3:09 pm

Post by suneelchallagali »

Thanks alot for your replay!!!!
I have remove all the places in the path and replace program files with Progra~1 but when i try to compile the job i am getting the same error as mention above can please tell me what path do i need to set for lib,include and what values do need to add for environment variables APT_linker,APT_compiler,APT_linketopt,APT_compileropt
chulett
Charter Member
Charter Member
Posts: 43085
Joined: Tue Nov 12, 2002 4:34 pm
Location: Denver, CO

Post by chulett »

Post them again. They can't be the same as before if you made the change suggested.
-craig

"You can never have too many knives" -- Logan Nine Fingers
suneelchallagali
Participant
Posts: 251
Joined: Tue Dec 02, 2008 3:09 pm

Post by suneelchallagali »

Here is compilation error

Output from transformer compilation follows:

##I TFCN 000001 11:56:48(000) <main_program>
Ascential DataStage(tm) Enterprise Edition 7.5
Copyright (c) 2004, 1997-2004 Ascential Software Corporation.
All Rights Reserved


##I TOSH 000002 11:56:48(001) <main_program> orchgeneral: loaded
##I TOSH 000002 11:56:48(002) <main_program> orchsort: loaded
##I TOSH 000002 11:56:48(003) <main_program> orchstats: loaded
##E TBLD 000000 11:56:49(000) <main_program> Error when checking composite operator: Subprocess command failed with exit status 32512
##E TFSR 000019 11:56:49(001) <main_program> Could not check all operators because of previous error(s)
##W TFCP 000000 11:56:49(002) <transform> Error when checking composite operator: The number of reject datasets "0"is less than the number of input datasets "1".
##W TBLD 000000 11:56:49(003) <main_program> Error when checking composite operator: Output from subprocess: /C=/Progra~1/MKS: not found

##I TFCP 000008 11:56:49(004) <transform> Error when checking composite operator: /C=/Progra~1/MKS Toolkit/mksnt/cxx.exe -O -IC:/Ascential/DataStage/PXEngine/include -W/TP -W/EHa -DAPT_USE_ANSI_IOSTREAMS -c C:/Ascential/DataStage/Projects/dsproject/RT_BP1.O/V0S13_Transformer_job_Transformer_13.C -o C:/Ascential/DataStage/Projects/dsproject/RT_BP1.O/V0S13_Transformer_job_Transformer_13.tmp.o
##E TCOS 000029 11:56:49(005) <main_program> Creation of step finished with status = FAILED (Transformer_job.Transformer_13)

*** Internal Generated Transformer Code follows:
0001: //
0002: // Generated file to implement the V0S13_Transformer_job_Transformer_13 transform operator.
0003: //
0004:
0005: // define our input/output link names
0006: inputname 0 DSLink3;
0007: outputname 0 DSLink14;
0008:
0009: initialize {
0010: // define our row rejected variable
0011: int8 RowRejected0;
0012:
0013: // define our null set variable
0014: int8 NullSetVar0;
0015:
0016: }
0017:
0018: mainloop {
0019: // initialise our row rejected variable
0020: RowRejected0 = 1;
0021:
0022: // evaluate columns (no constraints) for link: DSLink14
0023: writerecord 0;
0024: RowRejected0 = 0;
0025: }
0026:
0027: finish {
0028: }
0029:
*** End of Internal Generated Transformer Code
chulett
Charter Member
Charter Member
Posts: 43085
Joined: Tue Nov 12, 2002 4:34 pm
Location: Denver, CO

Post by chulett »

OK... I was hoping you would make the logical leap and see the need to "fix" all pathname pieces with spaces in them, something abhijain specifically noted for you.

Now it can't find "MKS" where you have ""MKS Toolkit". The rule is the first six characters plus "~1" for the first unique occurrence of the six and then increment the number from there for any "duplicates" at the short level. So try "MKSToo~1" instead and see if that works. Lather, rinse, repeat until we're past these "not found" errors and on to the next one.

ps. Windows is not case sensitive so "MKSTOO~1" or "mkstoo~1" would work just as well.
-craig

"You can never have too many knives" -- Logan Nine Fingers
suneelchallagali
Participant
Posts: 251
Joined: Tue Dec 02, 2008 3:09 pm

Post by suneelchallagali »

thank for you replay chulett i have changed the value but when iam trying to compile i am getting error again

Output from transformer compilation follows:

##I TFCN 000001 12:17:38(000) <main_program>
Ascential DataStage(tm) Enterprise Edition 7.5
Copyright (c) 2004, 1997-2004 Ascential Software Corporation.
All Rights Reserved


##I TOSH 000002 12:17:38(001) <main_program> orchgeneral: loaded
##I TOSH 000002 12:17:38(002) <main_program> orchsort: loaded
##I TOSH 000002 12:17:38(003) <main_program> orchstats: loaded
##E TBLD 000000 12:17:39(000) <main_program> Error when checking composite operator: Subprocess command failed with exit status 34304
##E TFSR 000019 12:17:39(001) <main_program> Could not check all operators because of previous error(s)
##W TFCP 000000 12:17:39(002) <transform> Error when checking composite operator: The number of reject datasets "0"is less than the number of input datasets "1".
##I TFCP 000008 12:17:39(003) <transform> Error when checking composite operator: /C=/Progra~1/MKSToo~1/mksnt/cxx.exe -O -IC:/Ascential/DataStage/PXEngine/include -W/TP -W/EHa -DAPT_USE_ANSI_IOSTREAMS -c C:/Ascential/DataStage/Projects/dsproject/RT_BP1.O/V0S13_Transformer_job_Transformer_13.C -o C:/Ascential/DataStage/Projects/dsproject/RT_BP1.O/V0S13_Transformer_job_Transformer_13.tmp.o
##I TBLD 000000 12:17:39(004) <main_program> Error when checking composite operator: Output from subprocess: [1] + Done(134) ?
1660 Abort cl

##E TCOS 000029 12:17:39(005) <main_program> Creation of step finished with status = FAILED (Transformer_job.Transformer_13)

*** Internal Generated Transformer Code follows:
0001: //
0002: // Generated file to implement the V0S13_repos_Transformer_13 transform operator.
0003: //
0004:
0005: // define our input/output link names
0006: inputname 0 DSLink3;
0007: outputname 0 DSLink14;
0008:
0009: initialize {
0010: // define our row rejected variable
0011: int8 RowRejected0;
0012:
0013: // define our null set variable
0014: int8 NullSetVar0;
0015:
0016: }
0017:
0018: mainloop {
0019: // initialise our row rejected variable
0020: RowRejected0 = 1;
0021:
0022: // evaluate columns (no constraints) for link: DSLink14
0023: writerecord 0;
0024: RowRejected0 = 0;
0025: }
0026:
0027: finish {
0028: }
0029:
*** End of Internal Generated Transformer Code
chulett
Charter Member
Charter Member
Posts: 43085
Joined: Tue Nov 12, 2002 4:34 pm
Location: Denver, CO

Post by chulett »

Someone else is going to have to help now, my experience with PX on Windows is zero, zip, nada, zilch. Curious though, why are you pointing the compiler variable to something in the MKS Toolkit directory? Is that really where you installed Visual Studio 2005? :?
-craig

"You can never have too many knives" -- Logan Nine Fingers
suneelchallagali
Participant
Posts: 251
Joined: Tue Dec 02, 2008 3:09 pm

Post by suneelchallagali »

Actaully by default apt_compiler and apt_linker pointed to CXX value so i thought path need to specifiy where cxx.exe file is so thats the reason i have pointed the apt_compiler and apott_linker values to C:\Progra~1\MKSToo~1\mksnt\cxx.exe. I am not sure the procedure which i followed is correct or not. here i have installed visual studio 2005 C:\Program Files\Microsoft Visual Studio 8.
ray.wurlod
Participant
Posts: 54607
Joined: Wed Oct 23, 2002 10:52 pm
Location: Sydney, Australia
Contact:

Post by ray.wurlod »

Have you also installed the SDK? You need to.
IBM Software Services Group
Any contribution to this forum is my own opinion and does not necessarily reflect any position that IBM may hold.
Post Reply